Gate Transfer Level Synthesis as an Automated Approach to Fine-Grain Pipelining

نویسندگان

  • Alexandre Smirnov
  • Alexander Taubin
  • Mark Karpovsky
  • Leonid Rozenblyum
چکیده

Register Transfer Level (RTL) synthesis method in clocked designs simplified circuit design and allowed design automation boosting VLSI progress for more than a decade. Shrinking technology and progressive increase in clock frequency is bringing clock to its crisis. Asynchronous circuits, which are believed to replace globally clocked designs in the future, remain out of the competition due to the design complexity of some automated approaches and poor results of other techniques. This work sketches the Gate Transfer Level (GTL) approach – it shows a general framework for automated synthesis of pipelined asynchronous circuits, presents certain aspects of GTL pipelines synthesis and informally demonstrates the equivalence of resulting GTL implementation to conventional RTL implementation of the same behavior. Experimental results show average 4.3x performance increase on MCNC benchmarks compared to synchronous RTL implementation.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Automated Pipelining in ASIC Synthesis Methodology: Gate Transfer Level

The paper presents Gate Transfer Level (GTL) as a general framework for synthesis of industrial complexity asynchronous quasi-delay-insensitive (QDI) circuits. The GTL flow automatically provides the finest degree of pipelining (gate-level) resulting in extremely high-performance designs. Automatic gate level pipelining is not possible for synchronous design due to the stage balance problem and...

متن کامل

Decomposed Software Pipelining: A New Approach to Exploit Instruction Level Parallelism for Loop Programs

This paper presents a new view on software pipelining, in which we consider software pipelining as an instruction level transformation from a vector of one-dimension to a matrix of two-dimensions. Thus, the software pipelining problem can be naturally decomposed into two subproblems, one is to determine the row-numbers of operations in the matrix and another is to determine the column-numbers. ...

متن کامل

Pipelined Cordic Based Cascade Orthogonal IIRDigital

Cordic based cascade orthogonal IIR digital lters possess desirable properties for VLSI implementations such as local connection, regularity, absence of limit cycle and overrow oscillations, and good nite word-length behavior. However, the achievable sample rate of these lters is limited, since these structures can't be pipelined at ner levels (such as bit or multi-bit level) due to the presenc...

متن کامل

A Comparative Study of Pipelining Techniques for Recursive Filter Implemented in FPGA

This paper presents different pipelining approach for higher order recursive digital filter. The performance of the filter is increased by pipelining the filter. Clustered look-ahead, scattered look-ahead pipelining methods and implementation of IIR filter in FPGA are analyzed. Clustered look-ahead pipeline is not always stable. To achieve a stable pipeline filter scattered look-ahead pipelinin...

متن کامل

Automated Mapping of Coarse-Grain Pipelined Applications to FPGA Systems

Configurable systems offer a unique opportunity to define application-specific architectures. These architectures offer performance advantages, where the use of customized pipelines exploits the inherent parallelism of the application. In this research, we describe a set of program analyses and an implementation that automatically map a sequential and un-annotated C program into a pipelined imp...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2004